Information for "17860139. METHOD OF GENERATING CURVE SUB-RESOLUTION ASSIST FEATURE (SRAF), METHOD OF VERIFYING MASK RULE CHECK (MRC), AND METHOD OF MANUFACTURING MASK INCLUDING METHOD OF GENERATING THE SAME simplified abstract (SAMSUNG ELECTRONICS CO., LTD.)"

Jump to navigation Jump to search

Basic information

Display title17860139. METHOD OF GENERATING CURVE SUB-RESOLUTION ASSIST FEATURE (SRAF), METHOD OF VERIFYING MASK RULE CHECK (MRC), AND METHOD OF MANUFACTURING MASK INCLUDING METHOD OF GENERATING THE SAME simplified abstract (SAMSUNG ELECTRONICS CO., LTD.)
Default sort key17860139. METHOD OF GENERATING CURVE SUB-RESOLUTION ASSIST FEATURE (SRAF), METHOD OF VERIFYING MASK RULE CHECK (MRC), AND METHOD OF MANUFACTURING MASK INCLUDING METHOD OF GENERATING THE SAME simplified abstract (SAMSUNG ELECTRONICS CO., LTD.)
Page length (in bytes)2,856
Page ID19841
Page content languageen - English
Page content modelwikitext
Indexing by robotsAllowed
Number of redirects to this page0
Counted as a content pageYes

Page protection

EditAllow all users (infinite)
MoveAllow all users (infinite)
View the protection log for this page.

Edit history

Page creatorWikipatents (talk | contribs)
Date of page creation06:11, 4 January 2024
Latest editorWikipatents (talk | contribs)
Date of latest edit06:11, 4 January 2024
Total number of edits1
Total number of distinct authors1
Recent number of edits (within past 90 days)0
Recent number of distinct authors0