17860139. METHOD OF GENERATING CURVE SUB-RESOLUTION ASSIST FEATURE (SRAF), METHOD OF VERIFYING MASK RULE CHECK (MRC), AND METHOD OF MANUFACTURING MASK INCLUDING METHOD OF GENERATING THE SAME simplified abstract (SAMSUNG ELECTRONICS CO., LTD.)

From WikiPatents
Jump to navigation Jump to search

METHOD OF GENERATING CURVE SUB-RESOLUTION ASSIST FEATURE (SRAF), METHOD OF VERIFYING MASK RULE CHECK (MRC), AND METHOD OF MANUFACTURING MASK INCLUDING METHOD OF GENERATING THE SAME

Organization Name

SAMSUNG ELECTRONICS CO., LTD.

Inventor(s)

Wooyong Cho of Hwaseong-si (KR)

Useong Kim of Hwaseong-si (KR)

Heejun Lee of Seoul (KR)

METHOD OF GENERATING CURVE SUB-RESOLUTION ASSIST FEATURE (SRAF), METHOD OF VERIFYING MASK RULE CHECK (MRC), AND METHOD OF MANUFACTURING MASK INCLUDING METHOD OF GENERATING THE SAME - A simplified explanation of the abstract

This abstract first appeared for US patent application 17860139 titled 'METHOD OF GENERATING CURVE SUB-RESOLUTION ASSIST FEATURE (SRAF), METHOD OF VERIFYING MASK RULE CHECK (MRC), AND METHOD OF MANUFACTURING MASK INCLUDING METHOD OF GENERATING THE SAME

Simplified Explanation

The patent application describes a method for generating a curvilinear sub-resolution assist feature (SRAF) that meets mask rule check (MRC) conditions. It also includes a verification method for easy MRC verification of the curvilinear SRAF and a mask manufacturing method using the same.

  • The method involves generating a curve axis for the curvilinear SRAF corresponding to a main feature.
  • Curve points are then generated on the line of the curve axis.
  • The curvilinear SRAF is generated based on these curve points.

Potential applications of this technology:

  • Semiconductor manufacturing
  • Mask manufacturing

Problems solved by this technology:

  • Generating a curvilinear SRAF that meets MRC conditions can be challenging.
  • The method simplifies the process of generating a curvilinear SRAF.

Benefits of this technology:

  • Easy generation of curvilinear SRAF
  • Improved MRC verification process
  • Simplified mask manufacturing process


Original Abstract Submitted

Disclosed is a method of generating a curvilinear sub-resolution assist feature (SRAF) capable of easily generating a curvilinear SRAF satisfying mask rule check (MRC) conditions, an MRC verification method for easy MRC verification of the curvilinear SRAF, and a mask manufacturing method including the method of generating the same. The method of generating a curvilinear SRAF includes generating a curve axis for generating the curvilinear SRAF corresponding to a main feature, generating curve points on a line of the curve axis, and generating the curvilinear SRAF based on the curve points.