Taiwan semiconductor manufacturing company, ltd. (20240265180). METHOD OF EXECUTING DESIGN FLOW WITH MACHINE LEARNING TECHNIQUES simplified abstract

From WikiPatents
Jump to navigation Jump to search

METHOD OF EXECUTING DESIGN FLOW WITH MACHINE LEARNING TECHNIQUES

Organization Name

taiwan semiconductor manufacturing company, ltd.

Inventor(s)

Ya Tung Han of Hsinchu (TW)

Huang-YU Chen of Hsinchu (TW)

METHOD OF EXECUTING DESIGN FLOW WITH MACHINE LEARNING TECHNIQUES - A simplified explanation of the abstract

This abstract first appeared for US patent application 20240265180 titled 'METHOD OF EXECUTING DESIGN FLOW WITH MACHINE LEARNING TECHNIQUES

The method described in the patent application involves comparing a user's design content with a set of reference design contents to determine similarity, and then executing a design flow based on a reference design recipe if the similarity meets a certain threshold.

  • The method involves constructing a set of reference design contents and recipes.
  • It includes determining content similarity between user design content and reference design content.
  • The design flow specified by a reference design recipe is executed based on the content similarity reaching a predetermined threshold.

Potential Applications: This technology could be used in the field of design automation to streamline the design process and improve efficiency in creating new designs.

Problems Solved: This technology addresses the challenge of efficiently executing design flows by leveraging reference design contents and recipes.

Benefits: The method can help designers save time and effort by automating certain design processes based on similarities with existing reference designs.

Commercial Applications: This technology could be valuable for companies involved in product design, semiconductor manufacturing, and other industries where design automation is crucial for success.

Questions about the technology: 1. How does this method improve the design process compared to traditional methods? 2. What are the potential limitations or drawbacks of relying on reference design contents and recipes for design automation?


Original Abstract Submitted

a method includes constructing a set of reference design contents associated with a set of reference design recipes. the method also includes determining a content similarity between a user design content and a reference design content taken from the set of reference design contents. the method further includes executing a design flow specified by a reference design recipe associated with the reference design content, as a result of the content similarity reaching a predetermined threshold.