18513893. PARTICLE REMOVAL METHOD simplified abstract (Taiwan Semiconductor Manufacturing Company, Ltd.)

From WikiPatents
Jump to navigation Jump to search

PARTICLE REMOVAL METHOD

Organization Name

Taiwan Semiconductor Manufacturing Company, Ltd.

Inventor(s)

Chih-Yuan Yao of Hsinchu City (TW)

Yu-Yu Chen of Taichung City (TW)

Hsiang-Lung Tsou of Taichung City (TW)

PARTICLE REMOVAL METHOD - A simplified explanation of the abstract

This abstract first appeared for US patent application 18513893 titled 'PARTICLE REMOVAL METHOD

Simplified Explanation

The patent application describes a method for removing particles from a processing chamber of a semiconductor wafer lithography apparatus using a particle attracting member with a coating layer.

  • Loading a particle attracting member with a coating layer into the processing chamber
  • Fixing the particle attracting member on a reticle holder in the processing chamber during a cleaning cycle
  • Attracting particles in the processing chamber to the coating layer of the particle attracting member due to a potential difference
  • Loading the particle attracting member with the attracted particles out of the processing chamber after the cleaning cycle
  • Performing a lithography exposure process on a semiconductor wafer in the processing chamber using a reticle fixed on the reticle holder after the cleaning cycle

Potential Applications

  • Semiconductor manufacturing
  • Lithography processes

Problems Solved

  • Particle contamination in processing chambers
  • Maintaining cleanliness in semiconductor manufacturing environments

Benefits

  • Improved yield in semiconductor manufacturing
  • Reduced downtime for cleaning processes
  • Enhanced quality control in lithography exposure processes


Original Abstract Submitted

A particle removal method includes loading a particle attracting member with a coating layer into a processing chamber of a processing apparatus. The processing chamber is configured to perform a lithography exposure process on a semiconductor wafer. The method also includes fixing the particle attracting member on a reticle holder in the processing chamber in a cleaning cycle, attracting particles in the processing chamber by the coating layer of the particle attracting member due to a potential difference between the particles and the coating layer, and loading the particle attracting member with the coating layer and the attracted particles out of the processing chamber, after the cleaning cycle. The method also includes loading the semiconductor wafer into the processing chamber, and performing the lithography exposure process on the semiconductor wafer in the processing chamber using a reticle fixed on the reticle holder after the cleaning cycle.