18145582. CATALYST-ENHANCED CHEMICAL VAPOR DEPOSITION simplified abstract (Tokyo Electron Limited)

From WikiPatents
Jump to navigation Jump to search

CATALYST-ENHANCED CHEMICAL VAPOR DEPOSITION

Organization Name

Tokyo Electron Limited

Inventor(s)

Kai-Hung Yu of Albany NY (US)

Hiroak Niimi of Albany NY (US)

Robert D. Clark of Fremont CA (US)

Tadahiro Ishizaka of Nirasaki city (JP)

CATALYST-ENHANCED CHEMICAL VAPOR DEPOSITION - A simplified explanation of the abstract

This abstract first appeared for US patent application 18145582 titled 'CATALYST-ENHANCED CHEMICAL VAPOR DEPOSITION

The method described in the patent application involves treating a substrate with a halogen-containing catalyst, followed by treatment with a molecular inhibitor (MI) and deposition of a second metal over a modified surface.

  • Treating the substrate with a halogen-containing catalyst to modify the surface of a first metal layer at the bottom of a recess in the dielectric layer.
  • Treating the substrate with a molecular inhibitor (MI) to cover the sidewalls of the dielectric layer in the recess.
  • Depositing a second metal over the modified surface of the first metal layer in the recess, with the MI preventing deposition on the dielectric layer.

Potential Applications: - Semiconductor manufacturing - Microelectronics industry - Integrated circuit fabrication

Problems Solved: - Enhancing metal deposition processes in semiconductor manufacturing - Improving the quality and reliability of integrated circuits

Benefits: - Increased efficiency in metal deposition - Enhanced performance of semiconductor devices - Cost-effective manufacturing processes

Commercial Applications: - Semiconductor fabrication companies - Electronics manufacturers - Research institutions in the microelectronics field

Questions about the technology: 1. How does the halogen-containing catalyst affect the surface of the first metal layer? 2. What are the specific characteristics of the molecular inhibitor (MI) used in this process?

Frequently Updated Research: - Ongoing advancements in semiconductor manufacturing techniques - Innovations in metal deposition processes in the microelectronics industry.


Original Abstract Submitted

A method for processing a substrate that includes: treating the substrate with a halogen-containing catalyst, the substrate including a semiconductor layer, a dielectric layer disposed over the semiconductor layer, a recess formed in the dielectric layer, and a layer of a first metal disposed between the dielectric layer and the semiconductor layer, the layer of the first metal being at a bottom of the recess, the halogen-containing catalyst modifying a surface of the layer of the first metal; after treating the substrate with the halogen-containing catalyst, treating the substrate with a molecular inhibitor (MI), the MI covering sidewalls of the dielectric layer in the recess; depositing a second metal over the modified surface of the layer of the first metal in the recess, where the MI covering the sidewalls prevents deposition of the second metal on the dielectric layer.