18067825. MITIGATING POINTER AUTHENTICATION CODE (PAC) ATTACKS IN PROCESSOR-BASED DEVICES simplified abstract (QUALCOMM Incorporated)

From WikiPatents
Jump to navigation Jump to search

MITIGATING POINTER AUTHENTICATION CODE (PAC) ATTACKS IN PROCESSOR-BASED DEVICES

Organization Name

QUALCOMM Incorporated

Inventor(s)

Jamie David Iles of Queen Charlton (GB)

Conrado Blasco of San Mateo CA (US)

MITIGATING POINTER AUTHENTICATION CODE (PAC) ATTACKS IN PROCESSOR-BASED DEVICES - A simplified explanation of the abstract

This abstract first appeared for US patent application 18067825 titled 'MITIGATING POINTER AUTHENTICATION CODE (PAC) ATTACKS IN PROCESSOR-BASED DEVICES

Simplified Explanation

The patent application discloses a method for mitigating Pointer Authentication Code (PAC) attacks in processor-based devices by detecting and responding to speculatively executed pointer authentication instructions.

  • Processor determines if a pointer authentication instruction is being executed speculatively.
  • If so, processor checks the validity of the pointer based on its signature.
  • If the pointer is deemed invalid, processor takes a mitigation action to prevent potential attacks.

Potential Applications

  • Cybersecurity in processor-based devices.
  • Preventing unauthorized access to sensitive data.
  • Enhancing the security of software systems.

Problems Solved

  • Mitigating PAC attacks in processor-based devices.
  • Preventing exploitation of vulnerabilities in pointer authentication instructions.
  • Enhancing overall system security against malicious attacks.

Benefits

  • Improved security measures against PAC attacks.
  • Enhanced protection of sensitive data.
  • Increased trust in the security of processor-based devices.


Original Abstract Submitted

Mitigating Pointer Authentication Code (PAC) attacks in processor-based devices is disclosed herein. In this regard, in some exemplary aspects, a processor of a processor-based device is configured to determine that a pointer authentication instruction to authenticate a pointer is being executed speculatively. The processor is further configured to, responsive to determining that the pointer authentication instruction is being executed speculatively, determine, based on a signature of the pointer, that the pointer is not valid. The processor is also configured to, responsive to determining that the pointer is not valid, perform a mitigation action.