US Patent Application 17739387. PHOTOSENSING PIXEL INCLUDING SELF-ALIGNED LIGHT SHIELDING LAYER simplified abstract

From WikiPatents
Jump to navigation Jump to search

PHOTOSENSING PIXEL INCLUDING SELF-ALIGNED LIGHT SHIELDING LAYER

Organization Name

Taiwan Semiconductor Manufacturing Company, Ltd.


Inventor(s)

Yueh-Chuan Lee of Hsinchu (TW)

Chih-Chiang Chang of Hsinchu (TW)

Chia-Chan Chen of Hsinchu (TW)

PHOTOSENSING PIXEL INCLUDING SELF-ALIGNED LIGHT SHIELDING LAYER - A simplified explanation of the abstract

This abstract first appeared for US patent application 17739387 titled 'PHOTOSENSING PIXEL INCLUDING SELF-ALIGNED LIGHT SHIELDING LAYER

Simplified Explanation

- The patent application describes a method for creating a light-shielding layer to block light from reaching a light-sensitive storage region in a semiconductor substrate. - The light-sensitive storage region is used to store electric charges. - A storage gate feature, consisting of a polysilicon gate electrode, is formed over the light-sensitive storage region. - A metal layer is then formed over the storage gate feature. - A silicidation process is performed to convert a portion of the metal layer in contact with the polysilicon gate electrode into a silicide light-shielding layer. - A thermal process is then conducted to promote lateral growth of the silicide light-shielding layer, causing it to extend and cover the lateral surface of the storage gate feature. - The temperature used in the thermal process is higher than that of the silicidation process.


Original Abstract Submitted

A method is provided for forming a light-shielding layer to block irradiation of light onto a light-sensitive storage region. The light-sensitive storage region is formed in a semiconductor substrate to store electric charges. A storage gate feature is formed over the light-sensitive storage region, and includes a polysilicon gate electrode that is disposed over the light-sensitive storage region. A metal layer is formed over the storage gate feature. A silicidation process is performed to transform a part of the metal layer that is in contact with the polysilicon gate electrode into a silicide light-shielding layer. A thermal process is performed to induce lateral growth of the silicide light-shielding layer to make the silicide light-shielding layer extend to cover a lateral surface of the storage gate feature. A process temperature of the thermal process is higher than that of the silicidation process.