International business machines corporation (20240113024). MULTI-LAYER TOPOLOGICAL INTERCONNECT WITH PROXIMAL DOPING LAYER simplified abstract

From WikiPatents
Jump to navigation Jump to search

MULTI-LAYER TOPOLOGICAL INTERCONNECT WITH PROXIMAL DOPING LAYER

Organization Name

international business machines corporation

Inventor(s)

Ching-Tzu Chen of Ossining NY (US)

Christian Lavoie of Pleasantville NY (US)

Guy M. Cohen of Westchester NY (US)

Utkarsh Bajpai of Delmar NY (US)

Nicholas Anthony Lanzillo of Wynantskill NY (US)

Teodor Krassimirov Todorov of Yorktown Heights NY (US)

Oki Gunawan of Westwood NJ (US)

NATHAN P. Marchack of New York NY (US)

Peter Kerns of Sandy Hook CT (US)

MULTI-LAYER TOPOLOGICAL INTERCONNECT WITH PROXIMAL DOPING LAYER - A simplified explanation of the abstract

This abstract first appeared for US patent application 20240113024 titled 'MULTI-LAYER TOPOLOGICAL INTERCONNECT WITH PROXIMAL DOPING LAYER

Simplified Explanation

The patent application describes an interconnect structure with conducting layers made of topological semi-metals and/or topological insulators, along with charge carrier doping layers to increase charge carrier density in the conducting layers.

  • The interconnect structure includes conducting layers of topological semi-metals and/or topological insulators.
  • A charge carrier doping layer is present on at least one surface of the conducting layers to increase charge carrier density.
  • The charge carrier doping layers have a higher charge carrier density compared to the topological semi-metals and/or topological insulators in the conducting layers.
      1. Potential Applications

This technology could be applied in high-speed electronic devices, quantum computing systems, and advanced sensors.

      1. Problems Solved

This innovation addresses the challenge of increasing charge carrier density in conducting layers, which is crucial for enhancing the performance of electronic devices.

      1. Benefits

The use of charge carrier doping layers can significantly improve the conductivity and efficiency of the interconnect structure, leading to faster and more reliable electronic devices.

      1. Potential Commercial Applications

The technology could be utilized in the semiconductor industry for manufacturing next-generation electronic components with improved performance and reliability.

      1. Possible Prior Art

Prior art may include research on charge carrier doping in semiconductor materials to enhance conductivity and performance in electronic devices.

        1. Unanswered Questions
        2. How does the charge carrier doping layer interact with the topological semi-metals and insulators in the conducting layers?

The interaction between the charge carrier doping layer and the topological semi-metals/insulators in the conducting layers is not explicitly discussed in the abstract. Further research may be needed to understand this interaction.

        1. What are the specific methods used to deposit the charge carrier doping layer onto the conducting layers?

The patent application does not provide details on the deposition methods for the charge carrier doping layer. Understanding the deposition techniques could be crucial for implementing this technology in practical applications.


Original Abstract Submitted

an interconnect structure including conducting layers of topological semi-metals and/or topological insulators. to increase charge carrier density in the conducting layers, a charge carrier doping layer present on at least one surface of the one or more conductive layers of topological semi-metals. the charge carrying doping layers have a charge carrier density greater than the topological semi-metals and/or topological insulators of the one or more conductive layers.