18171315. PICK-AND-PLACE TOOL WITH WARPAGE-CORRECTION MECHANISM simplified abstract (Taiwan Semiconductor Manufacturing Company, Ltd.)

From WikiPatents
Jump to navigation Jump to search

PICK-AND-PLACE TOOL WITH WARPAGE-CORRECTION MECHANISM

Organization Name

Taiwan Semiconductor Manufacturing Company, Ltd.

Inventor(s)

Jen-Yuan Chang of Hsinchu (TW)

PICK-AND-PLACE TOOL WITH WARPAGE-CORRECTION MECHANISM - A simplified explanation of the abstract

This abstract first appeared for US patent application 18171315 titled 'PICK-AND-PLACE TOOL WITH WARPAGE-CORRECTION MECHANISM

Simplified Explanation

The suction head of a pick-and-place tool for semiconductor device packaging includes a suction unit for picking up a top die and a warpage-correction mechanism with pushing units in the corner regions.

  • Suction head for semiconductor device packaging
  • Suction unit applies suction force to pick up top die
  • Warpage-correction mechanism includes pushing units in corner regions
  • Pushing units have tubular chambers and pushers for applying downward force on the die

Potential Applications

  • Semiconductor device packaging
  • Pick-and-place tools for manufacturing processes

Problems Solved

  • Warpage correction in semiconductor device packaging
  • Ensuring proper placement of top die during manufacturing

Benefits

  • Improved accuracy in picking and placing top die
  • Enhanced quality control in semiconductor packaging
  • Increased efficiency in manufacturing processes


Original Abstract Submitted

A suction head of a pick-and-place tool for semiconductor device packaging is provided. The suction head includes: a suction unit configured to apply a suction force on a top die and pick the top die; and a warpage-correction mechanism. The warpage-correction mechanism includes a pushing mechanism, and the pushing mechanism includes a plurality of pushing units, each of the plurality of pushing units disposed in a corner region of the suction head. Each of the plurality of pushing units includes: a tubular chamber extending vertically relative to a bottom surface of the suction head; and a pusher disposed in the tubular chamber and in air-tight contact with a side wall of the tubular chamber. The pusher is movable vertically and capable of protruding out of the bottom surface of the suction head to push a corner region of the top die and apply a downward force thereon.