18094100. METHOD FOR DETERMINING PERFORMANCE OF SEQUENTIAL LOGIC ELEMENTS AND DEVICE simplified abstract (Changxin Memory Technologies, Inc.)

From WikiPatents
Jump to navigation Jump to search

METHOD FOR DETERMINING PERFORMANCE OF SEQUENTIAL LOGIC ELEMENTS AND DEVICE

Organization Name

Changxin Memory Technologies, Inc.

Inventor(s)

Zengquan Wu of HEFEI (CN)

METHOD FOR DETERMINING PERFORMANCE OF SEQUENTIAL LOGIC ELEMENTS AND DEVICE - A simplified explanation of the abstract

This abstract first appeared for US patent application 18094100 titled 'METHOD FOR DETERMINING PERFORMANCE OF SEQUENTIAL LOGIC ELEMENTS AND DEVICE

Simplified Explanation

The abstract describes a method for determining the performance of sequential logic elements in a circuit. Here is a simplified explanation of the abstract:

  • The method involves obtaining a performance check file for each sequential logic element in the circuit.
  • The circuit is then simulated separately using multiple simulation waveforms, based on the performance check file for each logic element.
  • During the simulation process, the performance check file is used to determine if the target characteristic parameter of each logic element meets a preset condition.
  • If a logic element's characteristic parameter does not meet the preset condition, the simulation result will output identification information for that specific logic element.

Potential applications of this technology:

  • Circuit design and optimization: The method can be used to analyze and improve the performance of sequential logic elements in circuit designs.
  • Quality control: It can be used to identify and rectify any performance issues in sequential logic elements during the manufacturing process.

Problems solved by this technology:

  • Identifying performance issues: The method allows for the detection of sequential logic elements that do not meet the preset condition, helping to identify potential problems in circuit designs.
  • Efficient analysis: By separately simulating each logic element, the method allows for a more detailed and accurate analysis of their performance.

Benefits of this technology:

  • Improved circuit performance: By identifying and rectifying performance issues in sequential logic elements, the overall performance of the circuit can be enhanced.
  • Time and cost savings: The method allows for efficient analysis and identification of performance issues, reducing the time and cost required for circuit design and manufacturing.


Original Abstract Submitted

A method for determining performance of sequential logic elements includes the following operations. To check a circuit, a performance check file corresponding to each of the sequential logic elements of the circuit is obtained; and the circuit is separately simulated to obtain the simulation result, by using a plurality of simulation waveforms, based on the performance check file corresponding to each of the sequential logic elements. The performance check file may be used to determine, in a simulation process, whether a target characteristic parameter of each of the sequential logic elements meets a preset condition, and identification information of a target sequential logic element having a target characteristic parameter that does not meet the preset condition is output in the simulation result.