17873952. MULTIPLE SUPPLY VOLTAGE TRACKS AND STANDARD CELLS simplified abstract (Taiwan Semiconductor Manufacturing Co., Ltd.)

From WikiPatents
Jump to navigation Jump to search

MULTIPLE SUPPLY VOLTAGE TRACKS AND STANDARD CELLS

Organization Name

Taiwan Semiconductor Manufacturing Co., Ltd.

Inventor(s)

Hung-Chih Ou of Kaohsiung City (TW)

Wen-Hao Chen of Hsin-Chu City (TW)

MULTIPLE SUPPLY VOLTAGE TRACKS AND STANDARD CELLS - A simplified explanation of the abstract

This abstract first appeared for US patent application 17873952 titled 'MULTIPLE SUPPLY VOLTAGE TRACKS AND STANDARD CELLS

Simplified Explanation

The abstract describes a device that includes multiple voltage tracks, reference tracks, and standard cells. The voltage tracks provide different voltages, with the second voltage being higher than the first. The standard cells are electrically connected to the voltage tracks and the reference track.

  • The device has a first supply voltage track and a second supply voltage track.
  • The first supply voltage track provides a lower voltage, while the second supply voltage track provides a higher voltage.
  • There is a first reference track that is connected to the first standard cell.
  • The first standard cell is connected to the first supply voltage track and the first reference track.
  • There is also a second standard cell that is connected to the second supply voltage track and the first reference track.

Potential applications of this technology:

  • Integrated circuits and semiconductor devices
  • Power management systems
  • Voltage regulation and control systems

Problems solved by this technology:

  • Providing different voltage levels to different components in a device
  • Ensuring proper voltage supply to standard cells
  • Managing power consumption and efficiency in electronic devices

Benefits of this technology:

  • Improved performance and reliability of integrated circuits
  • Enhanced power management capabilities
  • Increased flexibility in voltage regulation and control


Original Abstract Submitted

A device including a first supply voltage track, a second supply voltage track, a first reference track, a first standard cell, and a second standard cell. The first supply voltage track is configured to provide a first voltage and the second supply voltage track is configured to provide a second voltage that is greater than the first voltage. The first standard cell is configured to be electrically connected to the first supply voltage track to receive the first voltage and electrically connected to the first reference track. The second standard cell is configured to be electrically connected to the second supply voltage track to receive the second voltage and electrically connected to the first reference track.