17841734. MASK LAYOUT CORRECTION METHODS BASED ON MACHINE LEARNING, AND MASK MANUFACTURING METHODS INCLUDING THE CORRECTION METHODS simplified abstract (SAMSUNG ELECTRONICS CO., LTD.)

From WikiPatents
Jump to navigation Jump to search

MASK LAYOUT CORRECTION METHODS BASED ON MACHINE LEARNING, AND MASK MANUFACTURING METHODS INCLUDING THE CORRECTION METHODS

Organization Name

SAMSUNG ELECTRONICS CO., LTD.

Inventor(s)

Mijin Kwon of Anyang-si (KR)

Sangchul Yeo of Osan-si (KR)

MASK LAYOUT CORRECTION METHODS BASED ON MACHINE LEARNING, AND MASK MANUFACTURING METHODS INCLUDING THE CORRECTION METHODS - A simplified explanation of the abstract

This abstract first appeared for US patent application 17841734 titled 'MASK LAYOUT CORRECTION METHODS BASED ON MACHINE LEARNING, AND MASK MANUFACTURING METHODS INCLUDING THE CORRECTION METHODS

Simplified Explanation

The patent application describes a method for correcting the layout of masks used in manufacturing processes, specifically for masks with curvilinear patterns. The method utilizes machine learning to generate a conversion model that can correct the layout images of the masks.

  • The method acquires optical proximity correction (OPC)-ed layout images for masks with curvilinear patterns.
  • Mask contour images are extracted from scanning electron microscope (SEM) images of masks manufactured based on the OPC-ed layout images.
  • Machine learning is performed using the OPC-ed layout images and the mask contour images to generate a conversion model.
  • The conversion model is then used to correct the OPC-ed layout images.

Potential Applications

  • Semiconductor manufacturing: This method can be applied in the production of semiconductor devices where masks with curvilinear patterns are used.
  • Display manufacturing: The method can be used in the production of displays, such as LCD or OLED screens, that require precise mask layouts.

Problems Solved

  • Mask layout correction: The method solves the problem of accurately correcting the layout of masks with curvilinear patterns, ensuring the final manufactured product meets the desired specifications.

Benefits

  • Improved manufacturing accuracy: By using machine learning and the conversion model, the method improves the accuracy of mask layout correction, leading to more precise manufacturing processes.
  • Time and cost savings: The method reduces the need for manual correction of mask layouts, saving time and resources in the manufacturing process.


Original Abstract Submitted

A reliable mask layout correction method capable of manufacturing a mask including a curvilinear pattern, and a mask manufacturing method including the correction method. The mask layout correction method based on machine learning may include: acquiring optical proximity correction (OPC)-ed layout images for masks including a curvilinear pattern, extracting mask contour images from scanning electron microscope (SEM) images for masks manufactured based on the OPC-ed layout images, performing machine learning using the OPC-ed layout images and the mask contour images to generate a conversion model, and correcting the OPC-ed layout images using the conversion model.