17956334. MULTI-LAYER TOPOLOGICAL INTERCONNECT WITH PROXIMAL DOPING LAYER simplified abstract (International Business Machines Corporation)

From WikiPatents
Jump to navigation Jump to search

MULTI-LAYER TOPOLOGICAL INTERCONNECT WITH PROXIMAL DOPING LAYER

Organization Name

International Business Machines Corporation

Inventor(s)

Ching-Tzu Chen of Ossining NY (US)

Christian Lavoie of Pleasantville NY (US)

Guy M. Cohen of Westchester NY (US)

Utkarsh Bajpai of Delmar NY (US)

Nicholas Anthony Lanzillo of Wynantskill NY (US)

Teodor Krassimirov Todorov of Yorktown Heights NY (US)

Oki Gunawan of Westwood NJ (US)

NATHAN P. Marchack of New York NY (US)

Peter Kerns of Sandy Hook CT (US)

MULTI-LAYER TOPOLOGICAL INTERCONNECT WITH PROXIMAL DOPING LAYER - A simplified explanation of the abstract

This abstract first appeared for US patent application 17956334 titled 'MULTI-LAYER TOPOLOGICAL INTERCONNECT WITH PROXIMAL DOPING LAYER

Simplified Explanation

The interconnect structure described in the patent application includes conducting layers of topological semi-metals and/or topological insulators, with a charge carrier doping layer present on at least one surface of the conductive layers to increase charge carrier density.

  • Conducting layers made of topological semi-metals and/or topological insulators
  • Charge carrier doping layer on at least one surface of the conducting layers
  • Doping layers have higher charge carrier density compared to the conducting layers

Potential Applications

The technology could be applied in:

  • High-speed electronic devices
  • Quantum computing systems

Problems Solved

This technology addresses:

  • Low charge carrier density in topological semi-metals and insulators
  • Limitations in current interconnect structures

Benefits

The benefits of this technology include:

  • Improved conductivity
  • Enhanced performance of electronic devices

Potential Commercial Applications

This innovation could be utilized in:

  • Semiconductor industry for advanced electronic components
  • Telecommunications for faster data transmission

Possible Prior Art

Prior art may include:

  • Interconnect structures with traditional conducting materials
  • Doping techniques in semiconductor devices

What are the specific materials used in the conducting layers and doping layers?

The specific materials used in the conducting layers are topological semi-metals and/or topological insulators, while the doping layers consist of materials with higher charge carrier density.

How does the charge carrier doping layer increase the charge carrier density in the conducting layers?

The charge carrier doping layer increases the charge carrier density in the conducting layers by introducing additional charge carriers with higher density, enhancing the overall conductivity of the structure.


Original Abstract Submitted

An interconnect structure including conducting layers of topological semi-metals and/or topological insulators. To increase charge carrier density in the conducting layers, a charge carrier doping layer present on at least one surface of the one or more conductive layers of topological semi-metals. The charge carrying doping layers have a charge carrier density greater than the topological semi-metals and/or topological insulators of the one or more conductive layers.